专注收集记录技术开发学习笔记、技术难点、解决方案
网站信息搜索 >> 请输入关键词:
您当前的位置: 首页 > 硬件开发

找编写testbench(VHDL)文件高手帮忙,该怎么解决

发布时间:2010-06-13 21:40:03 文章来源:www.iduyao.cn 采编人员:星星草
找编写testbench(VHDL)文件高手帮忙
如何在testbench(VHDL)文件中读取文本内容,来生成激励文件,给个例子,小弟未曾用testbench编写过激励

------解决方案--------------------
友情帮定下。
友情提示:
信息收集于互联网,如果您发现错误或造成侵权,请及时通知本站更正或删除,具体联系方式见页面底部联系我们,谢谢。

其他相似内容:

热门推荐: