专注收集记录技术开发学习笔记、技术难点、解决方案
网站信息搜索 >> 请输入关键词:
您当前的位置: 首页 > 硬件开发

求高手帮助:C写二进制文件 verilog读该文件,该怎么处理

发布时间:2010-06-13 21:42:36 文章来源:www.iduyao.cn 采编人员:星星草
求高手帮助:C写二进制文件 verilog读该文件
现在需要用c语言将一个整型数组写进二进制文件,然后verilog读这个文件,将二进制文件中的整型数据读出来存放到reg

中。该如何实现?求高手帮助,麻烦您写一个简单的例子:将12,23,34写进二进制文件test.dat,然后verilog读这个文件,

将这三个数读出存放在reg a1,reg a2,reg a3中。

------解决方案--------------------
$sscanf(fid,"%d",a1);
------解决方案--------------------
我都是用C产生一个文本文件,然后使用$readmem读取到reg数组的,下面是例子
if (binary)
$readmemb(file, arr, lo, hi);
else
$readmemh(file, arr, lo, hi);

------解决方案--------------------
探讨
引用:
verilog读文件只适用于仿真,对于实际硬件,因该做一块rom或者ram,或者其他,把数据存进去

那这个rom是怎么做?
我用readmemb函数,编译后存储器全0,如果用modelsim存储器里就会有数据,为什么?我必须要用quartus
友情提示:
信息收集于互联网,如果您发现错误或造成侵权,请及时通知本站更正或删除,具体联系方式见页面底部联系我们,谢谢。

其他相似内容:

热门推荐: