专注收集记录技术开发学习笔记、技术难点、解决方案
网站信息搜索 >> 请输入关键词:
您当前的位置: 首页 > 硬件开发

quartus的延迟为什么这么严重啊该怎么处理

发布时间:2010-06-13 21:44:24 文章来源:www.iduyao.cn 采编人员:星星草
quartus的延迟为什么这么严重啊
我用vhdl写了几个逻辑门,用quartus ii仿真时输出的延迟非常严重
Assembly code
library ieee;
use ieee.std_logic_1164.all;
entity e is
port(
a,b:in std_logic;
y,h,f,yf,yh,hf,yhf:out std_logic
);
end e;
architecture b of e is
begin
process(a,b)
begin 
y<=a and b;
h<=a or b;
f<=not a;
yf<=a nand b;
yh<=a xor b;
hf<=a nor b;
yhf<=not(a xor b);
end process;
end b;


为什么延迟这么严重啊?怎么改进?

------解决方案--------------------
FPGA的Pin到Pin延迟一般都比较大的
你改改约束条件试试
在工程属性的Timing项里

------解决方案--------------------
不要用simulator, 不然你会后悔的, 我是说以后, 上modelsim. 绝不忽悠.
------解决方案--------------------
不要用模拟软件, 不然你会后悔的, 我是说以后, 上逻辑分析仪. 绝不忽悠.
友情提示:
信息收集于互联网,如果您发现错误或造成侵权,请及时通知本站更正或删除,具体联系方式见页面底部联系我们,谢谢。

其他相似内容:

热门推荐: