专注收集记录技术开发学习笔记、技术难点、解决方案
网站信息搜索 >> 请输入关键词:
您当前的位置: 首页 > 嵌入开发

(vhdl,quartus) 产生symbol时出错Error(10017)解决思路

发布时间:2010-06-13 21:44:05 文章来源:www.iduyao.cn 采编人员:星星草
(vhdl,quartus) 产生symbol时出错Error(10017)
产生shift 的 smybol时有如下错误,请问是什么原因?

Error (10017): Can't create symbol for entity "shift" -- declaration for port "sel" cannot be of complex type

--cup_lib.vhd

LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
PACKAGE cpu_lib IS
type t_shift is (shftpass,shtl,shtr,rotl,rotr);
subtype bit16 is std_logic_vector (15 downto 0);
end cpu_lib;

--shift.vhd

....

entity shift is
  port ( a : in bit16;
  sel: in t_shift;
  y : out bit16
  );
end shift;



------解决方案--------------------
输出端口不可以定义成混合型
------解决方案--------------------
看看(shftpass,shtl,shtr,rotl,rotr)是什么够成的
友情提示:
信息收集于互联网,如果您发现错误或造成侵权,请及时通知本站更正或删除,具体联系方式见页面底部联系我们,谢谢。

其他相似内容:

热门推荐: