专注收集记录技术开发学习笔记、技术难点、解决方案
网站信息搜索 >> 请输入关键词:
您当前的位置: 首页 > 硬件开发

关于FPGA里面的库解决思路

发布时间:2010-06-13 21:38:00 文章来源:www.iduyao.cn 采编人员:星星草
关于FPGA里面的库
学过FPGA的工程师都知道VHDL里面有四个常用的库,分别是1164、arith、unsigned、signed。我总是搞不清楚arith、unsigned、signed这三个库的区别,分别用在哪些场合。之前我过我一个做过FPGA的博士朋友,他说把这三个都包含进去就行了,不管别的。可是当我把这三个库同时包含在一些程序中时,编译时会出错。在这里求教大家,请详细解释一下这三个库的区别和使用方法。感激不尽!

------解决方案--------------------
std_logic_1164:常用数据类型(其中有std_logic、std_logic_vector数据类型)和函数的定义、各种类型转换 函数及逻辑运算。
std_logic_arith:它在std_logic_1164的基础上定义了无符号数unsigned、有符号数signed数据类型并为其定义了相应的算术运算、比较,无符号数unsigned、有符号数signed及整数integer之间转换函数。
std_logic_unsigned和std_logic_signed:定义了integer数据类型和std_logic及std_logic_vector数据类型混合运算的运算符,并定义了一个由std_logic_vector型到integer型的转换函数。其中std_logic_signed中定义的运算符是有符号数运算符。

如果你装了ISE之类的软件,你可以在里面的ieee目录下找到对应的文件,打开看看就知道具体区别了
------解决方案--------------------
不喜欢用vhdl 也就不太懂那玩意 
verilog更方便点
------解决方案--------------------
探讨

std_logic_1164:常用数据类型(其中有std_logic、std_logic_vector数据类型)和函数的定义、各种类型转换 函数及逻辑运算。
std_logic_arith:它在std_logic_1164的基础上定义了无符号数unsigned、有符号数signed数据类型并为其定义了相应的算术运算、比较,无符号数unsigned、有符号数signed及……
友情提示:
信息收集于互联网,如果您发现错误或造成侵权,请及时通知本站更正或删除,具体联系方式见页面底部联系我们,谢谢。

其他相似内容:

热门推荐: